Amazon cover image
Image from Amazon.com

Sputtering materials for VLSI and thin film devices / Jaydeep Sarkar.

By: Material type: TextTextPublisher: Norwich, N.Y. : William Andrew, 2011Distributor: Oxford : Elsevier Science [distributor]Description: 1 online resourceContent type:
  • text
Media type:
  • computer
Carrier type:
  • online resource
ISBN:
  • 9780080947716
  • 0080947719
  • 9780815519874
  • 0815519877
  • 1306119952
  • 9781306119955
Subject(s): Genre/Form: Additional physical formats: Print version:: Sputtering materials for VLSI and thin film devicesDDC classification:
  • 621.381 22
LOC classification:
  • TK7874
Online resources:
Contents:
Front Cover; Sputtering Materials for VLSI and Thin Film Devices; Copyright Page; Contents; Preface; 1 Sputtering Targets and Sputtered Films for the Microelectronic Industry; 1.1 Materials for microelectronics; 1.1.1 Introduction; 1.1.1.1 Electrical conductivity; 1.1.2 Conductors; 1.1.3 Semiconductors; 1.1.4 Insulators; 1.2 Scope of sputtering in microelectronics; 1.3 Sputtering materials for integrated circuits; 1.3.1 Introduction; 1.3.2 Silicide contact; 1.3.3 Conductor, liner, barrier and anti-reflection coating; 1.3.4 Assembly and packaging (back-end processes).
1.3.4.1 Under bump metallization (UBM) and bond pad1.3.4.2 Through-silicon-via (TSV); 1.4 Sputtering materials for liquid crystal displays; 1.4.1 Introduction; 1.4.2 Active-matrix liquid crystal displays; 1.4.2.1 TFT array fabrication; 1.4.2.2 Cell assembly and Module assembly fabrication; 1.5 Sputtering materials for magnetic storage systems; 1.5.1 Introduction; 1.5.2 Thin film heads; 1.5.2.1 Inductive head; 1.5.2.2 Magnetoresistive head (MR heads); 1.5.2.3 Giant magnetoresistive head (GMR head); 1.5.3 Magnetic recording media; 1.6 Sputtering materials for optical storage media.
1.7 Sputtering materials for photovoltaic devices1.7.1 Silicon wafer based solar cells; 1.7.2 Thin film solar cells; 1.8 Sputtering target industry; References; 2 Sputtering and Thin Film Deposition; 2.1 Introduction; 2.2 Physical vapor deposition; 2.3 Plasma and glow discharge; 2.4 Sputter deposition of thin films; 2.4.1 DC sputtering; 2.4.2 RF sputtering; 2.4.3 Reactive sputtering; 2.4.4 Magnetron sputtering; 2.4.4.1 Directional sputter deposition; 2.4.4.1.1 Long-throw sputter deposition; 2.4.4.1.2 Collimated sputter deposition; 2.4.4.2 Ionized physical vapor deposition (I-PVD).
2.4.4.3 Hollow cathode magnetron2.4.4.4 Magnetrons for large area coating; 2.5 Thin film characteristics; References; 3 Performance of Sputtering Targets and Productivity; 3.1 Introduction; 3.2 Target chemistry; 3.3 Target metallurgy; 3.3.1 Grain size inhomogeneity and banding of grains; 3.3.2 Second-phase particles, inclusions and porosity; 3.3.3 Preferred orientation of grains; 3.3.4 Sputter surface roughness and overall finish; 3.3.5 Particle performance; 3.3.6 Target bond characteristics; 3.4 Ferromagnetic targets; 3.5 Target cleaning and packaging; 3.6 Target burn-in.
3.7 Target utilizationReferences; 4 Sputtering Target Manufacturing; 4.1 Introduction; 4.2 Designing sputtering targets; 4.3 Target material fabrication; 4.3.1 Liquid metallurgy processing of targets; 4.3.1.1 Cast structure; 4.3.1.1.1 Phase diagram and microstructure; 4.3.1.1.2 Melting and casting practice; 4.3.1.2 Segregation and inclusion; 4.3.1.3 Pipe and porosity; 4.3.2 Powder metallurgy processing of targets; 4.3.2.1 Powder preparation; 4.3.2.2 Powder compaction; 4.3.2.3 Powder consolidation using sintering; 4.3.2.3.1 Solid phase sintering; 4.3.2.3.2 Liquid phase sintering.
Summary: An important resource for the microelectronics and flat panel display industries, this book focuses on the development of sputtering targets for conductor, diffusion barrier, reflective, data storage and display applications. Sarkar reviews essential microelectronics industry topics, including: history and technology trends; chip making fundamentals; deposition and properties of thin films; and the role of sputtering target performance on overall production yield. Materials science fundamentals, types of metallic materials for conductors, diffusion barrier, data storage, and flat panel display applications are also discussed. The author illustrates his arguments with case studies and real-world examples of troubleshooting in an industrial setting. Unique coverage of sputtering target manufacturing methods in the light of semiconductor, displays, data storage and photovoltaic industry requirementsPractical information on technology trends, role of sputtering and major OEMs Discussion on properties of a wide variety of thin films which include silicides, conductors, diffusion barriers, transparent conducting oxides, magnetic films etc. Practical case-studies on target performance and troubleshooting. Essential technological information for students, engineers and scientists working in the semiconductor, display, data storage and photovoltaic industry.
Item type:
Tags from this library: No tags from this library for this title. Log in to add tags.
Star ratings
    Average rating: 0.0 (0 votes)
Holdings
Item type Home library Collection Call number Materials specified Status Date due Barcode
Electronic-Books Electronic-Books OPJGU Sonepat- Campus E-Books EBSCO Available

An important resource for the microelectronics and flat panel display industries, this book focuses on the development of sputtering targets for conductor, diffusion barrier, reflective, data storage and display applications. Sarkar reviews essential microelectronics industry topics, including: history and technology trends; chip making fundamentals; deposition and properties of thin films; and the role of sputtering target performance on overall production yield. Materials science fundamentals, types of metallic materials for conductors, diffusion barrier, data storage, and flat panel display applications are also discussed. The author illustrates his arguments with case studies and real-world examples of troubleshooting in an industrial setting. Unique coverage of sputtering target manufacturing methods in the light of semiconductor, displays, data storage and photovoltaic industry requirementsPractical information on technology trends, role of sputtering and major OEMs Discussion on properties of a wide variety of thin films which include silicides, conductors, diffusion barriers, transparent conducting oxides, magnetic films etc. Practical case-studies on target performance and troubleshooting. Essential technological information for students, engineers and scientists working in the semiconductor, display, data storage and photovoltaic industry.

Print version record.

Front Cover; Sputtering Materials for VLSI and Thin Film Devices; Copyright Page; Contents; Preface; 1 Sputtering Targets and Sputtered Films for the Microelectronic Industry; 1.1 Materials for microelectronics; 1.1.1 Introduction; 1.1.1.1 Electrical conductivity; 1.1.2 Conductors; 1.1.3 Semiconductors; 1.1.4 Insulators; 1.2 Scope of sputtering in microelectronics; 1.3 Sputtering materials for integrated circuits; 1.3.1 Introduction; 1.3.2 Silicide contact; 1.3.3 Conductor, liner, barrier and anti-reflection coating; 1.3.4 Assembly and packaging (back-end processes).

1.3.4.1 Under bump metallization (UBM) and bond pad1.3.4.2 Through-silicon-via (TSV); 1.4 Sputtering materials for liquid crystal displays; 1.4.1 Introduction; 1.4.2 Active-matrix liquid crystal displays; 1.4.2.1 TFT array fabrication; 1.4.2.2 Cell assembly and Module assembly fabrication; 1.5 Sputtering materials for magnetic storage systems; 1.5.1 Introduction; 1.5.2 Thin film heads; 1.5.2.1 Inductive head; 1.5.2.2 Magnetoresistive head (MR heads); 1.5.2.3 Giant magnetoresistive head (GMR head); 1.5.3 Magnetic recording media; 1.6 Sputtering materials for optical storage media.

1.7 Sputtering materials for photovoltaic devices1.7.1 Silicon wafer based solar cells; 1.7.2 Thin film solar cells; 1.8 Sputtering target industry; References; 2 Sputtering and Thin Film Deposition; 2.1 Introduction; 2.2 Physical vapor deposition; 2.3 Plasma and glow discharge; 2.4 Sputter deposition of thin films; 2.4.1 DC sputtering; 2.4.2 RF sputtering; 2.4.3 Reactive sputtering; 2.4.4 Magnetron sputtering; 2.4.4.1 Directional sputter deposition; 2.4.4.1.1 Long-throw sputter deposition; 2.4.4.1.2 Collimated sputter deposition; 2.4.4.2 Ionized physical vapor deposition (I-PVD).

2.4.4.3 Hollow cathode magnetron2.4.4.4 Magnetrons for large area coating; 2.5 Thin film characteristics; References; 3 Performance of Sputtering Targets and Productivity; 3.1 Introduction; 3.2 Target chemistry; 3.3 Target metallurgy; 3.3.1 Grain size inhomogeneity and banding of grains; 3.3.2 Second-phase particles, inclusions and porosity; 3.3.3 Preferred orientation of grains; 3.3.4 Sputter surface roughness and overall finish; 3.3.5 Particle performance; 3.3.6 Target bond characteristics; 3.4 Ferromagnetic targets; 3.5 Target cleaning and packaging; 3.6 Target burn-in.

3.7 Target utilizationReferences; 4 Sputtering Target Manufacturing; 4.1 Introduction; 4.2 Designing sputtering targets; 4.3 Target material fabrication; 4.3.1 Liquid metallurgy processing of targets; 4.3.1.1 Cast structure; 4.3.1.1.1 Phase diagram and microstructure; 4.3.1.1.2 Melting and casting practice; 4.3.1.2 Segregation and inclusion; 4.3.1.3 Pipe and porosity; 4.3.2 Powder metallurgy processing of targets; 4.3.2.1 Powder preparation; 4.3.2.2 Powder compaction; 4.3.2.3 Powder consolidation using sintering; 4.3.2.3.1 Solid phase sintering; 4.3.2.3.2 Liquid phase sintering.

eBooks on EBSCOhost EBSCO eBook Subscription Academic Collection - Worldwide

There are no comments on this title.

to post a comment.

O.P. Jindal Global University, Sonepat-Narela Road, Sonepat, Haryana (India) - 131001

Send your feedback to glus@jgu.edu.in

Hosted, Implemented & Customized by: BestBookBuddies   |   Maintained by: Global Library